pyGHDL.dom.Symbol#

Classes


Classes

class pyGHDL.dom.Symbol.LibraryReferenceSymbol(identifierNode, identifier)[source]#

Inheritance

Inheritance diagram of LibraryReferenceSymbol

Parameters:
  • identifierNode (Iir) –

  • identifier (str) –

__init__(identifierNode, identifier)[source]#

Initializes a VHDL model entity.

Parameters:
  • identifierNode (Iir) –

  • identifier (str) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.PackageReferenceSymbol(identifierNode, identifier, prefix)[source]#

Inheritance

Inheritance diagram of PackageReferenceSymbol

Parameters:
__init__(identifierNode, identifier, prefix)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: LibraryReferenceSymbol#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.PackageMembersReferenceSymbol(identifierNode, identifier, prefix)[source]#

Inheritance

Inheritance diagram of PackageMembersReferenceSymbol

Parameters:
__init__(identifierNode, identifier, prefix)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: PackageReferenceSymbol#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol(identifierNode, prefix)[source]#

Inheritance

Inheritance diagram of AllPackageMembersReferenceSymbol

Parameters:
__init__(identifierNode, prefix)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: PackageReferenceSymbol#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.ContextReferenceSymbol(identifierNode, identifier, prefix)[source]#

Inheritance

Inheritance diagram of ContextReferenceSymbol

Parameters:
__init__(identifierNode, identifier, prefix)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: LibraryReferenceSymbol#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.EntityInstantiationSymbol(identifierNode, identifier, prefix)[source]#

Inheritance

Inheritance diagram of EntityInstantiationSymbol

Parameters:
__init__(identifierNode, identifier, prefix)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: LibraryReferenceSymbol#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.ComponentInstantiationSymbol(identifierNode, identifier)[source]#

Inheritance

Inheritance diagram of ComponentInstantiationSymbol

Parameters:
  • identifierNode (Iir) –

  • identifier (str) –

__init__(identifierNode, identifier)[source]#

Initializes a VHDL model entity.

Parameters:
  • identifierNode (Iir) –

  • identifier (str) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol(identifierNode, identifier)[source]#

Inheritance

Inheritance diagram of ConfigurationInstantiationSymbol

Parameters:
  • identifierNode (Iir) –

  • identifier (str) –

__init__(identifierNode, identifier)[source]#

Initializes a VHDL model entity.

Parameters:
  • identifierNode (Iir) –

  • identifier (str) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.EntitySymbol(identifierNode, identifier)[source]#

Inheritance

Inheritance diagram of EntitySymbol

Parameters:
  • identifierNode (Iir) –

  • identifier (str) –

__init__(identifierNode, identifier)[source]#

Initializes a VHDL model entity.

Parameters:
  • identifierNode (Iir) –

  • identifier (str) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.ArchitectureSymbol(identifierNode, identifier, prefix)[source]#

Inheritance

Inheritance diagram of ArchitectureSymbol

Parameters:
__init__(identifierNode, identifier, prefix)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: EntitySymbol#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.PackageSymbol(identifierNode, identifier)[source]#

Inheritance

Inheritance diagram of PackageSymbol

Parameters:
  • identifierNode (Iir) –

  • identifier (str) –

__init__(identifierNode, identifier)[source]#

Initializes a VHDL model entity.

Parameters:
  • identifierNode (Iir) –

  • identifier (str) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.SimpleSubtypeSymbol(node, subtypeName)[source]#

Inheritance

Inheritance diagram of SimpleSubtypeSymbol

Parameters:
  • node (Iir) –

  • subtypeName (str) –

__init__(node, subtypeName)[source]#

Initializes a VHDL model entity.

Parameters:
  • node (Iir) –

  • subtypeName (str) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol(node, subtypeName, rng=None)[source]#

Inheritance

Inheritance diagram of ConstrainedScalarSubtypeSymbol

Parameters:
  • node (Iir) –

  • subtypeName (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

  • rng (Range) –

__init__(node, subtypeName, rng=None)[source]#

Initializes a VHDL model entity.

Parameters:
  • node (Iir) –

  • subtypeName (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

  • rng (Range | None) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol(node, subtypeName, constraints=None)[source]#

Inheritance

Inheritance diagram of ConstrainedCompositeSubtypeSymbol

Parameters:
  • node (Iir) –

  • subtypeName (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

  • constraints (List) –

__init__(node, subtypeName, constraints=None)[source]#

Initializes a VHDL model entity.

Parameters:
  • node (Iir) –

  • subtypeName (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

  • constraints (List | None) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol(node, identifier)[source]#

Inheritance

Inheritance diagram of SimpleObjectOrFunctionCallSymbol

Parameters:
  • node (Iir) –

  • identifier (str) –

__init__(node, identifier)[source]#

Initializes a VHDL model entity.

Parameters:
  • node (Iir) –

  • identifier (str) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

class pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol(node, prefix, indices)[source]#

Inheritance

Inheritance diagram of IndexedObjectOrFunctionCallSymbol

Parameters:
__init__(node, prefix, indices)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property HasPrefix: bool#

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str#

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str#

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None#

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name#

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__str__()#

Return str(self).

Return type:

str